ディジタル数値演算回路の実用設計 : 四則演算, 初等超越関数, 浮動小数点演算の作りかた

著者

    • 鈴木, 昌治 スズキ, ショウジ

書誌事項

ディジタル数値演算回路の実用設計 : 四則演算, 初等超越関数, 浮動小数点演算の作りかた

鈴木昌治著

(Design Wave Advance)

CQ出版, 2006.11

タイトル別名

ディジタル数値演算回路の実用設計 : 四則演算初等超越関数浮動小数点演算の作りかた

タイトル読み

ディジタル スウチ エンザン カイロ ノ ジツヨウ セッケイ : シソク エンザン, ショトウ チョウエツ カンスウ, フドウ ショウスウテン エンザン ノ ツクリカタ

大学図書館所蔵 件 / 77

この図書・雑誌をさがす

注記

参考・引用文献: p252

内容説明・目次

内容説明

本書は、画像処理や音声処理、暗号処理などには欠かせない数値演算回路設計についての解説書です。本書では、数値演算回路として、加減算回路、乗算回路、除算回路、浮動小数点演算回路、初等超越関数を取り上げます。また、応用回路としてディジタル・ビデオ・エフェクトのアドレス生成回路の設計方法を紹介します。本書は、あくまでも実用回路の製作に主眼を置いています。そのため、具体的な回路例(ソース・コード)を示しながら、数値演算を実際の回路に落とし込む過程を理解できるように説明しています。また、製品の差異化の重要な要素となる高速化や小型化を図るため、さまざまな視点でのアプローチを紹介します。

目次

  • 第1章 ディジタル回路と数値演算回路
  • 第2章 加算器と減算器
  • 第3章 高速でコンパクトな乗算器の設計
  • 第4章 バリエーションを使い分けた除算器の設計
  • 第5章 初等超越関数の実現
  • 第6章 浮動小数点演算の作法
  • 第7章 DVEアドレス生成回路を事例とする演算回路の最適化
  • Appendix 1 APPNA生成ツールの作りかた
  • Appendix 2 Wallaceツリー生成ツールの作りかた

「BOOKデータベース」 より

関連文献: 1件中  1-1を表示

詳細情報

ページトップへ