EUV Lithography Technology for High-volume Production of Semiconductor Devices

この論文をさがす

抄録

<p>After years of efforts, extreme-ultraviolet (EUV) lithography reached a significant milestone in 2018: readiness for high-volume production of advanced semiconductor devices. A EUV source power of 250 W has been realized, providing a tool throughput capability exceeding 140 wafers per hour at a dose of 20 mJ/cm2. The full-wafer critical dimension (CD) uniformity is now less than 0.5 nm for multiple systems and matched-machine overlay is at 1.1 nm. These imaging and overlay performances meet the production requirements for the 5-nm node logic and 16-nm dynamic random access memory (DRAM) devices. Meanwhile, ASML continues to improve the performance of EUV exposure tools to obtain higher throughput, better image quality, and tighter overlay specifications, thereby further enhancing productivity and capability. Further improvements in resist and mask materials are required to extend EUV single-patterning to the low-k1 regime. Finally, ASML has begun to develop an EUV exposure system with a numerical aperture (NA) of 0.55 to enable continued scaling in semiconductor manufacturing beyond the next decade.</p>

収録刊行物

被引用文献 (2)*注記

もっと見る

参考文献 (2)*注記

もっと見る

詳細情報 詳細情報について

問題の指摘

ページトップへ