Poly-SiGe for MEMS-above-CMOS Sensors

著者

    • González Ruiz, Pilar
    • Meyer, Kristin De
    • Witvrouw, Ann

書誌事項

Poly-SiGe for MEMS-above-CMOS Sensors

Pilar Gonzalez Ruiz, Kristin De Meyer, Ann Witvrouw

(Advanced microelectronics, 44)

Springer, c2014

大学図書館所蔵 件 / 2

この図書・雑誌をさがす

内容説明・目次

内容説明

Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence of deposition conditions, germanium content and doping concentration on the electrical and piezoresistive properties of boron-doped poly-SiGe. The development of a CMOS-compatible process flow, with special attention to the sealing method, is also described. Piezoresistive pressure sensors with different areas and piezoresistor designs were fabricated and tested. Together with the piezoresistive pressure sensors, also functional capacitive pressure sensors were successfully fabricated on the same wafer, proving the versatility of poly-SiGe for MEMS sensor applications. Finally, a detailed analysis of the MEMS processing impact on the underlying CMOS circuit is also presented.

目次

Acknowledgements. Abstract. Symbols and Abbreviations. 1 Introduction. 1.1 Motivation and goal of this work. 1.2 MEMS: definition, technologies and applications. 1.3 CMOS-MEMS integration: why, how and what? 1.4 Polycrystalline SiGe for MEMS-above-CMOS applications. 1.5 A poly-SiGe based MEMS pressure sensor. 1.6 Outline of the book. 2 Poly-SiGe As Piezoresistive Material. 2.1 Introduction to piezoresistivity. 2.2 Sample preparation. 2.3 Measurement setup. 2.4 Results and discussion. 2.5 Summary and conclusions. 3 Design of a Poly-SiGe Piezoresistive Pressure Sensor. 3.1 A piezoresistive pressure sensor: definition and important performance parameters. 3.2 Design. 3.3 Summary and conclusions of the sensor design. 4 The Pressure Sensor Fabrication Process. 4.1 The pressure sensor fabrication process: a generic technology. 4.2 Pressure sensor schematic process flow. 4.3 Process developments and challenges. 4.4 Discussion on the poly-SiGe pressure sensor process. 5 Sealing of Surface Micromachined Poly-SiGe Cavities. 5.1 Introduction. 5.2 Fabrication process. 5.3 Direct sealing. 5.4 Intermediate porous cover. 5.5 Measurement setup. 5.6 Analytical model. 5.7 Results and discussion. 5.8 Summary and conclusion. 6 Characterization of Poly-SiGe pressure sensors. 6.1 Measurement setup. 6.2 Measurement results: pressure response. 6.3 Summary and conclusions. 6.4 Capacitive pressure sensors. 7 CMOS Integrated Poly-SiGe Piezoresistive Pressure Sensor. 7.1 The sensor readout circuit: an instrumentation amplifier. 7.2 Fabrication of a CMOS integrated pressure sensor. 7.3 Effect of the MEMS processing on CMOS. 7.4 Evaluation of the CMOS-integrated pressure sensor. 7.5 Conclusions. 8 Conclusions And Future Work. 8.1 Conclusions and contribution of the dissertation. 8.2 Future research directions and recommendations. Appendix A. Appendix B. Appendix C. Appendix D.

「Nielsen BookData」 より

関連文献: 1件中  1-1を表示

詳細情報

ページトップへ