Silicon carbide and related materials 2009 : selected peer reviewed papers from the International Conference on Silicon Carbide and Related Materials 2009, Nurnberg, Germany, October 11-16, 2009

Bibliographic Information

Silicon carbide and related materials 2009 : selected peer reviewed papers from the International Conference on Silicon Carbide and Related Materials 2009, Nurnberg, Germany, October 11-16, 2009

edited by Anton J. Bauer ... [et al.]

(Materials science forum, v. 645-648)

Trans Tech Publications, c2010

  • : set
  • pt. 1
  • pt. 2

Other Title

ICSCRM 2009

Search this Book/Journal
Note

"The 13th International Conference on Silicon Carbide and Related Materials 2009 (ICSCRM 2009) was held at the CongressCenter Nürnberg (CCN), Germany"--P. [ix]

Includes bibliographical references and index

Description and Table of Contents

Description

The 13th International Conference on Silicon Carbide and Related Materials 2009 (ICSCRM 2009) was held at the Congress Center, Nurnberg (CCN), Germany from October 11 to 16, 2009. This was a truly important and exciting event in the history of wide-bandgap semiconductors, as 503 scientists and engineers from 29 countries reported and discussed the progress made during the previous two years.

Table of Contents

  • Sponsors Committees Preface Chapter 1: SiC Bulk Growth 1.1 Bulk Growth of 4H-SiC High Quality 100mm 4H-SiC Substrates with Low Resistivity Growth and Characterization of Large-Diameter 4H-SiC Crystals with High Crystal Quality High-Speed Growth of High-Quality 4H-SiC Bulk by Solution Growth Using Si-Cr Based Melt Growth of 4H-SiC Crystals on the 8 Degrees Off-Axis 6H-SiC Seed by PVT Method Characterization of Vanadium Doped 4H- and 6H-SiC Grown by PVT Method Using the Open Seed Backside 1.2 Bulk Growth of 6H-, 2H- and 3C-SiC Status of 3" 6H SiC Bulk Crystal Growth Observation of Lattice Plane Bending during SiC PVT Bulk Growth Using In Situ High Energy X-Ray Diffraction Solution Growth and Crystallinity Characterization of Bulk 6H-SiC Non-Polar SiC Crystal Growth with m-Plane(1-100) and a-Plane(11-20) by PVT Method Purifying Mechanism in the Acheson Process - A Thermodynamic Study Growth of Single-Phase 2H-SiC Layers by Vapor-Liquid-Solid Process Overview of 3C-SiC Crystalline Growth Study of the Spontaneous Nucleation of 3C-SiC Single Crystals Using CF-PVT Technique Heavily p-Type Doping of Bulk 6H-SiC and 3C-SiC Grown from Al-Si Melts Improvements of the Continuous Feed-Physical Vapor Transport Technique (CF-PVT) for the Seeded Growth of 3C-SiC Crystals Is the Liquid Phase a Viable Approach for Bulk Growth of 3C-SiC? The Effect of Phenol Resin Types and Mixing Ratios on the Synthesis of High-Purity -SiC Powder by the Sol-Gel Method Chapter 2: SiC Epitaxial Growth 2.1 Homoepitaxial Growth Low-Pressure Fast Growth and Characterization of 4H-SiC Epilayers Growth and Properties of SiC On-Axis Homoepitaxial Layers High-Performance Multi-Wafer SiC Epitaxy - First Results of Using a 10x100mm Reactor Concentrated Chloride-Based Epitaxial Growth of 4H-SiC 4H-SiC Homoepitaxial Growth on Vicinal-Off Angled Si-Face Substrate Epitaxial Growth of 4H-SiC with High Growth Rate Using CH3Cl and SiCl4 Chlorinated Growth Precursors Chloride-Based CVD at High Growth Rates on 3" Vicinal Off-Angles SiC Wafers Use of SiCl4 as Silicon Precursor for Low-Temperature Halo-Carbon Epitaxial Growth of 4H-SiC Short-Length Step Morphology on 4 Degrees Off Si-Face Epitaxial Surface Grown on 4H-SiC Substrate Improvement of Surface Roughness for 4H-SiC Epilayers Grown on 4 Degrees Off-Axis Substrates Doping Concentration and Surface Morphology of 4H-SiC C-Face Epitaxial Growth Investigation of 3C-SiC(111) Homoepitaxial Growth by CVD at High Temperature 2.2 Heteroepitaxial and Heteropolytypic Growth The Deposition of 3C-SiC Thin Films onto the (111) and (110) Faces of Si Using Pulsed Sputtering of a Hollow Cathode 3C-SiC Heteroepitaxial Growth on Inverted Silicon Pyramids (ISP) SiC Epitaxial Growth on Si(100) Substrates Using Carbon Tetrabromide Growth Rate Effect on 3C-SiC Film Residual Stress on (100) Si Substrates Low-Temperature, Low-Pressure and Ultrahigh-Rate Growth of Single-Crystalline 3C-SiC on Si Substrate by ULP-CVD Using Organosilane Fundamental Study of the Temperature Ramp-Up Influence for 3C-SiC Hetero-Epitaxy on Silicon (100) Thermally Induced Surface Reorganization of 3C-SiC(111) Epilayers Grown on Silicon Substrates Tuning Residual Stress in 3C-SiC(100) on Si(100) Further Evidence of Nitrogen Induced Stabilization of 3C-SiC Polytype during Growth from a Si-Ge Liquid Phase Bow in 6 Inch High-Quality Off-Axis (111) 3C-SiC Films Low Doped 3C-SiC Layers Deposited by the Vapour-Liquid-Solid Mechanism on 6H-SiC Substrates Sublimation Growth and Structural Characterization of 3C-SiC on Hexagonal and Cubic SiC Seeds Investigation of Low Doped n-Type and p-Type 3C-SiC Layers Grown on 6H-SiC Substrates by Sublimation Epitaxy Properties of 3C-SiC Grown by Sublimation Epitaxy on Different Type of Substrates SiC Nanowires Grown on 4H-SiC Substrates by Chemical Vapor Deposition Chapter 3: Physical Properties and Characterization of SiC 3.1 Physical Properties Identification of Defects Limiting the Carrier Lifetime in n- Epitaxial Layers of 4H-SiC Temperature and Injection Level Dependencies of Carrier Lifetimes in p-Type and n-Type 4H-SiC Epilayers Temperature Dependence of the Carrier Lifetime in 4H-SiC Epilayers Characterization of the Excess Carrier Lifetime of As-Grown and Electron Irradiated Epitaxial p-Type 4H-SiC Layers by the Microwave Photoconductivity Decay Method CL/EBIC-SEM Techniques for Evaluation of Impact of Crystallographic Defects on Carrier Lifetime in 4H-SiC Epitaxial Layers Nonequilibrium Carrier Recombination in Highly Excited Bulk SiC Crystals On the Correlation of the Structural Perfection and Nonequilibrium Carrier Parameters in 3C SiC Heterostructures Increase of SiC Substrate Resistance Induced by Annealing Barrier Inhomogeneities of Mo Schottky Barrier Diodes on 4H-SiC Fundamental Band Edge Absorption in 3C-SiC: Phonon Absorption Assisted Transitions Electronic Structure and Momentum-Dependent Resonant Inelastic X-Ray Scattering in Broad Band Materials Electronic Properties of Femtosecond Laser Induced Modified Spots on Single Crystal Silicon Carbide Modeling of Boron Diffusion and Segregation in Poly-Si/4H-SiC Structures Calculation of Lattice Constant of 4H-SiC as a Function of Impurity Concentration Polytypism Study in SiC Epilayers Using Electron Backscatter Diffraction Raman Characterization of Doped 3C-SiC/Si for Different Silicon Substrates and C/Si Ratios Low Temperature near Band Gap Photoluminescence of 3C-SiC/15R-SiC and 3C-SiC/6H-SiC Heterostructures Determination of the Optical Bandgap of Thin Amorphous (SiC)1-x(AlN)x Films Elastic Properties of Dense Organosilicate Glasses Dependent on the C/Si Ratio 3.2 Extended Defects and multicrystalline SiC A Pictorial Tracking of Basal Plane Dislocations in SiC Epitaxy On the Luminescence and Driving Force of Stacking Faults in 4H-SiC Systematic First Principles Calculations of the Effects of Stacking Fault Defects on the 4H-SiC Band Structure In-Grown Stacking Faults Identified in 4H-SiC Epilayers Grown at High Growth Rate Characterization of 100 mm Diameter 4H-Silicon Carbide Crystals with Extremely Low Basal Plane Dislocation Density Nucleation of c-Axis Screw Dislocations at Substrate Surface Damage during 4H-Silicon Carbide Homo-Epitaxy Dislocation Conversion and Propagation during Homoepitaxial Growth of 4H-SiC Characterization of Basal Plane Dislocations in 4H-SiC Substrates by Topography Analysis of Threading Edge Dislocations in Epilayers Optical and Structural Properties of In-Grown Stacking Faults in 4H-SiC Epilayers Structural Analysis of Dislocations in Highly Nitrogen-Doped 4H-SiC Substrates Structure of Inclusions in 4 Degrees Offcut 4H-SiC Epitaxy Time Sequential Evolutions of Optically-Induced Single Shockley Stacking Faults Formed in 4H-SiC Epitaxial Layers Condition Dependences of Extended Defect Formation in 4H-SiC by Ion-Implantation/Activation-Anneal Process Single Shockley Stacking Faults in As-Grown 4H-SiC Epilayers Influence of Shockley Stacking Fault Generation on Electrical Behavior of 4H-SiC 10 kV MPS Diodes Dislocation Activity in 4H-SiC in the Brittle Domain Correlation between Leakage Current and Stacking Fault Density of p-n Diodes Fabricated on 3C-SiC Temperature-Dependence of the Leakage Current of 3C-SiC p+-n Diodes Caused by Extended Defects 6H-Type Zigzag Faults in Low-Doped 4H-SiC Epitaxial Layers Characterization of Surface Defects of Highly N-Doped 4H-SiC Substrates that Produce Dislocations in the Epitaxial Layer Room-Temperature Photoluminescence Observation of Stacking Faults in 3C-SiC Raman Investigation of Different Polytypes in SiC Thin Films Grown by Solid-Gas Phase Epitaxy on Si (111) and 6H-SiC Substrates Stacking Faults around the Hetero-Interface Induced by 6H-SiC Polytype Transformation on 3C-SiC with Solution Growth The Influence of the Temperature Gradient on the Defect Structure of 3C-SiC Grown Heteroepitaxially on 6H-SiC by Sublimation Epitaxy A Study of Structural Defects in 3C-SiC Hetero-Epitaxial Films Macrodefects in Cubic Silicon Carbide Crystals Microstructural Characterization of Epitaxial Cubic Silicon Carbide Using Transmission Electron Microscopy TEM and LTPL Investigations of 3C-SiC Layers Grown by LPE on (100) and (111) 3C-SiC Seeds TEM and SEM-CL Studies of SiC Nanowires Characteristics of Porous 3C-SiC Thin Films Formed with Nitrogen Doping Concentrations 3.3 Point Defects: Intrinsic Defects and Impurities Theory of Neutral Divacancy in SiC: A Defect for Spintronics The Carbon Vacancy Related EI4 Defect in 4H-SiC Fine Structure of Triplet Centers in Room Temperature Irradiated 6H-SiC The Formation of Alphabet Lines in 4H SiC after Low-Energy Electron Irradiation New Lines and Issues Associated with Deep Defect Spectra in Electron, Proton and 4He Ion Irradiated 4H SiC LTPL Investigation of N-Ga and N-Al Donor-Acceptor Pair Spectra in 3C-SiC Layers Grown by VLS on 6H-SiC Substrates Thermal Histories of Defect Centers as Measured by Low Temperature Photoluminescence in n- and p-Type 4H SiC Epilayers Generated by Irradiation with 170 keV or 1 MeV Electrons Thermal Stability of Defect Centers in n- and p-Type 4H-SiC Epilayers Generated by Irradiation with High-Energy Electrons Shallow Defects Observed in As-Grown and Electron-Irradiated or He+-Implanted Al-Doped 4H-SiC Epilayers A Laterally Resolved DLTS Study of Intrinsic Defect Diffusion in 4H-SiC after Low Energy Focused Proton Beam Irradiation Metastable Defects in Low-Energy Electron Irradiated n-Type 4H-SiC Deep Defects in 3C-SiC Generated by H+- and He+-Implantation or by Irradiation with High-Energy Electrons Optical Characterization of VLS+CVD Grown 3C-SiC Films by Non-Linear and Photoluminescence Techniques Elastic Waves in Nano-Columnar Porous 4H-SiC Measured by Brillouin Scattering Breakdown of Impurity Al in SiC Polytypes Deep Levels Observed in High-Purity Semi-Insulating 4H-SiC Thermal Activation and Cathodoluminescence Measurements of Tb3+-Doped a-(SiC)1-x(AlN)x Thin Films 3.4 Interfaces and Surfaces Detection and Electrical Characterization of Defects at the SiO2/4H-SiC Interface Thermally Stimulated Current Separation of Hole and Acceptor Trap Density in 4H-SiC MOS Devices Using Gamma Ray Irradiation SiC and GaN MOS Interfaces - Similarities and Differences Multiscale Modeling and Analysis of the Nitridation Effect of SiC/SiO2 Interface Dynamical Simulations of Dry Oxidation and NO Annealing of SiO2/4H-SiC Interface on C-Face at 1500K: From First Principles Preannealing Effect on Mobility of N-/Al-Coimplanted and Over-Oxidized 4H-SiC MOSFETs Nitridation of the SiO2/SiC Interface by N+ Implantation: Hall versus Field Effect Mobility in n-Channel Planar 4H-SiC MOSFETs Systematic Investigation of Interface Properties in 4H-SiC MOS Structures Prepared by Over-Oxidation of Ion-Implanted Substrates Effect of NO Annealing on 6H- and 4H-SiC MOS Interface States Significant Decrease of the Interface State Density by NH3 Plasma Pretreatment at 4H-SiC (000-1) Surface and its Bond Configuration Improved Electrical Properties of SiC-MOS Interfaces by Thermal Oxidation of Plasma Nitrided 4H-SiC(0001) Surfaces Improved 4H-SiC MOS Interface Produced by Oxidized-SiN Gate Oxide Investigation of Oxide Films Prepared by Direct Oxidation of C-Face 4H-SiC in Nitric Oxide Experimental Identification of Extra Type of Charges at SiO2/SiC Interface in 4H-SiC A C-V Method of Slow-Switching Interface Traps Identification in Silicon Carbide MOS Structures EDMR and EPR Studies of 4H SiC MOSFETs and Capacitors Electrical Properties and Gas Sensing Characteristics of the Al2O3/4H SiC Interface Studied by Impedance Spectroscopy Crystalline Quality of Channel Regions in SiC Buried Gate Static Induction Transistors (SiC-BGSITs) Study of the Evolution of Basal Plane Dislocations during Epitaxial Growth: Role of the Surface Kinetics Experimental Verification of the Cluster Effect on Giant Step Bunching on 4H-SiC (0001) Surfaces Modal Composition of the SiC Surface Electromagnetic Response to the External Radiation at Lattice Resonant Frequency Study of Indentation Damage in Single Crystal Silicon Carbide by Using Micro Raman Spectroscopy 3.5 Wafer Mapping Techniques Single Shockley Faults Enlargement during Micro-Photoluminescence Defects Mapping Characterization of Defects in Semi-Insulating 6H-SiC Substrates Using IR Thermal Imaging Camera Chapter 4: Graphene 4.1 Growth Analysis of the Formation Conditions for Large Area Epitaxial Graphene on SiC Substrates Growth Rate and Thickness Uniformity of Epitaxial Graphene Structural Evaluation of Graphene/SiC (0001) Grown in Atmospheric Pressure Graphene Growth on C and Si-Face of 4H-SiC - TEM and AFM Studies Differences between Graphene Grown on Si-Face and C-Face Epitaxial Graphene Elaborated on 3C-SiC(111)/Si Epilayers Growth of Few Layers Graphene on Silicon Carbide from Nickel Silicide Supersaturated with Carbon Growth and Characterization of Epitaxial Graphene on SiC Induced by Carbon Evaporation 4.2 Characterization and Modelling Epitaxial Graphene Growth Studied by Low-Energy Electron Microscopy and First-Principles Strain and Charge in Epitaxial Graphene on Silicon Carbide Studied by Raman Spectroscopy Uniformity of Epitaxial Graphene on On-Axis and Off-Axis SiC Probed by Raman Spectroscopy and Nanoscale Current Mapping Deep UV Raman Spectroscopy of Epitaxial Graphenes on Vicinal 6H-SiC Substrates Optical Transmission of Epitaxial Graphene Layers on SiC in the Visible Spectral Range Density Functional Simulations of Physisorbed and Chemisorbed Single Graphene Layers on 4H-SiC (0001), (000-1) and 4H-SiC:H Surface 4.3 Processing and Devices Hydrogen Intercalation below Epitaxial Graphene on SiC(0001) Quasi-Freestanding Graphene on SiC(0001) Techniques for the Dry Transfer of Epitaxial Graphene onto Arbitrary Substrates Transport Properties of Single-Layer Epitaxial Graphene on 6H-SiC (0001) Chapter 5: Processing of SiC 5.1 Processing of MOS and SBD Power Devices Defect Control in Growth and Processing of 4H-SiC for Power Device Applications Effects of Thermal Oxidation on Deep Levels Generated by Ion Implantation into n-Type and p-Type 4H-SiC Influence of Processing and of Material Defects on the Electrical Characteristics of SiC-SBDs and SiC-MOSFETs Experimental Evaluation of Different Passivation Layers on the Performance of 3kV 4H-SiC BJTs Novel Fabrication Technology for Devices with nearly Temperature-Independent Forward Characteristics Correlation between Schottky Contact Characteristics and Regions with a Low Barrier Height Revealed by the Electrochemical Deposition on 4H-SiC Investigations on Surge Current Capability of SiC Schottky Diodes by Implementation of New Pad Metallizations On the Viability of Au/3C-SiC Schottky Barrier Diodes Comparison of the Threshold-Voltage Stability of SiC MOSFETs with Thermally Grown and Deposited Gate Oxides Significant Improvement in Reliability of Thermal Oxide on 4H-SiC (0001) Face Using Ammonia Post-Oxidation Annealing Consequences of NO Thermal Treatments in the Properties of Dielectric Films / SiC Structures The Limits of Post Oxidation Annealing in NO 5.2 Doping, Implantation and Annealing Electrical Activation of B+-Ions Implanted into 4H-SiC Manganese in 4H-SiC Effects of Implantation Temperature on Sheet and Contact Resistance of Heavily Al Implanted 4H-SiC Ultra Fast High Temperature Microwave Annealing of Ion Implanted Large Bandgap Semiconductors Effect of Dopant Concentrations and Annealing Conditions on the Electrically Active Profiles and Lattice Damage in Al Implanted 4H-SiC Influence of Heating and Cooling Rates of Post-Implantation Annealing Process on Al-Implanted 4H-SiC Epitaxial Samples Effects of Helium Implantation on the Mechanical Properties of 4H-SiC Novel Cap Annealing Process for SiC Crystal Using ECR-Sputtered Carbon Films and ECR Plasma Ashing 5.3 Ohmic Contacts and Bonding TEM Observations of Ti/AlNi/Au Contacts on p-Type 4H-SiC Comparative Study of Ohmic Contact Metallizations to Nanocrystalline Diamond Films Reliability Tests of Au-Metallized Ni-Based Ohmic Contacts to 4H-n-SiC with and without Nanocomposite Diffusion Barriers SiC-Die-Attachment for High Temperature Applications Characteristics of Gold Wire Bonds with Ti- and Ni-Based Contact Metallization to n-SiC for High Temperature Applications Development of a Wire-Bond Technology for SiC High Temperature Applications 5.4 Polishing and Etching of Surfaces Recent Advances in Surface Preparation of Silicon Carbide and other Wide Band Gap Materials Reactive-Ion-Etching Induced Deep Levels Observed in n-Type and p-Type 4H-SiC Morphology Improvement of Step Bunching on 4H-SiC Wafers by Polishing Technique Fabrication and Use of Atomically Smooth Steps on 6H-SiC for Calibration of z-Displacements in Scanning Probe Microscopy Hexagonality and Stacking Sequence Dependence of Etching Properties in Cl2-O2-SiC System Reduction of Surface Roughness of 4H-SiC by Catalyst-Referred Etching Self-Formation of Specific Pyramidal Planes in 4H-SiC Formed by Chlorine Based Ambience Impact of CF4 Plasma Treatment on the Surface Roughness of Ion Implanted SiC Induced by High Temperature Annealing 4H-SiC Surface Morphology Etched Using ClF3 Gas Influence of Negative Charging on High Rate SiC Etching for GaN HEMT MMICs Influence of the UV Light Intensity on the Photoelectrochemical Planarization Technique for Gallium Nitride 5.5 Oxides and other Dielectrics Impact of Oxidation Conditions and Surface Defects on the Reliability of Large-Area Gate Oxide on the C-Face of 4H-SiC Gate Oxide Long-Term Reliability of 4H-SiC MOS Devices Model Calculations of SiC Oxide Growth Rate at Various Oxidation Temperatures Based on the Silicon and Carbon Emission Model In Situ Spectroscopic Ellipsometry Study of SiC Oxidation at Low Oxygen-Partial-Pressures Rapid and Efficient Oxidation Process of SiC by In Situ Multiple RTP Steps Direct Observation of Dielectric Breakdown Spot in Thermal Oxides on 4H-SiC(0001) Using Conductive Atomic Force Microscopy Electrical Characterization and Reliability of Nitrided-Gate Insulators for N- and P-Type 4H-SiC MIS Devices Comparative Study of Thermal Oxides and Post-Oxidized Deposited Oxides on n-Type Free Standing 3C-SiC Reliability of Thin Thermally Grown SiO2 on 3C-SiC Studied by Scanning Probe Microscopy Effects of Post-Deposition Annealing on CeO2 Gate Prepared by Metal-Organic Decomposition (MOD) Method on 4H-SiC 5.6 Micromachining Nanostructuring Techniques for 3C-SiC(100) NEMS Structures SiC on SOI Resonators: A Route for Electrically Driven MEMS in Harsh Environment Temperature Facilitated ECR-Etching for Isotropic SiC Structuring Ultra-Precision Machining of Stainless Steel and Nickel with Single Crystal 4H and 6H Boule SiC Thinning of SiC Wafer by Plasma Chemical Vaporization Machining Property Modification of 3C-SiC MEMS on Ge-Modified Si(100) Substrates Residual Stress Measurement and Simulation of 3C-SiC Single and Poly Crystal Cantilevers Electric Discharge Machining for Silicon Carbide in Gases of Ar, Ar-CH4 and Ar-CF4 Mixtures Effect of In Situ Doped Nitrogen Concentrations on the Characteristics of Poly 3C-SiC Micro Resonators Chapter 6: SiC Devices 6.1 Schottky Barrier Diodes Active Devices for Power Electronics: SiC vs III-N Compounds - The Case of Schottky Rectifiers A New Generation of SiC Schottky Diodes with Improved Thermal Management and Reduced Capacitive Losses Germanium - Silicon Carbide Heterojunction Diodes - A Study in Device Characteristics with Increasing Layer Thickness and Deposition Temperature Fabrication of 1.2kV, 100A, 4H-SiC(0001) and (000-1) Junction Barrier Schottky Diodes with Almost Same Schottky Barrier Height 4.6 kV, 10.5 mOhmxcm2 Nickel Silicide Schottky Diodes on Commercial 4H-SiC Epitaxial Wafers 6.2 PiN Diodes 6.5 kV SiC PiN Diodes with Improved Forward Characteristics Correlation between Carrier Recombination Lifetime and Forward Voltage Drop in 4H-SiC PiN Diodes Optimization of Bipolar SiC-Diodes by Analysis of Avalanche Breakdown Performance Analyses of High Leakage Currents in Al+ Implanted 4H SiC pn Diodes Caused by Threading Screw Dislocations Preliminary Investigation of Laser Induced Photoconductivity in 4H-SiC PiN Diodes and HPSI Substrate Charge Collection Efficiency of 6H-SiC P+N Diodes Degraded by Low-Energy Electron Irradiation 4H-SiC PiN Diodes Fabricated Using Low-Temperature Halo-Carbon Epitaxial Growth Method 6.3 JFETs and MESFETs Feasibility of Efficient Power Switching Using Short-Channel 1200-V Normally-Off SiC VJFETs
  • Experimental Analysis and Simulations Fast Switching with SiC VJFETs - Influence of the Device Topology Performance of 15 mm2 1200 V Normally-Off SiC VJFETs with 120 A Saturation Current Radiation Hardness Evaluation of SiC-BGSIT Physics of Hysteresis in MESFET Drain I-V Characteristics: Simulation Approach Characterization of SiC JFETs and its Application in Extreme Temperature (over 450 DegreesC) Circuit Design Amplitude Shift Keyed Radio Communications for Hostile Environments Minimization of Drain-to-Gate Interaction in a SiC JFET Inverter Using an External Gate-Source Capacitor Design and Gate Drive Considerations for Epitaxial 1.2 kV Buried Grid N-on and N-off JFETs for Operation at 250 DegreesC Circuit Modeling of Vertical Buried-Grid SiC JFETs 6.4 MOSFETs Performance, Reliability, and Robustness of 4H-SiC Power DMOSFETs Effect of Band-Edge Interface Traps and Transition Region Mobility on Transport in 4H-SiC MOSFETs Wafer-Level Hall Measurement on SiC MOSFET Effect of ON-State Stress on SiC DMOSFET Subthreshold I-V Characteristics 1360 V, 5.0 m cm2 Double-Implanted MOSFETs Fabricated on 4H-SiC(000-1) Improved Characteristics of 4H-SiC MISFET with AlON/Nitrided SiO2 Stacked Gate Dielectrics Effect of Doping Concentration in Buried-Channel NMOSFETs on Electrical Properties of 4H-SiC CMOS Devices Isotropic Channel Mobility in UMOSFETs on 4H-SiC C-Face with Vicinal Off-Angle Comparison of Inversion Layer Electron Transport of Lightly Doped 4H and 6H SiC MOSFETs Electrical Characteristics of MOSFETs Using 3C-SiC with Buried Insulating Layer Current Transient Effect in N-Channel 6H-SiC MOSFET Induced by Heavy Ion Irradiation 6.5 Bipolar Transistors and Thyristors 9 kV, 1 cm2 SiC Gate Turn-Off Thyristors Large Area >8 kV SiC GTO Thyristors with Innovative Anode-Gate Designs 10 kV, 10 A Bipolar Junction Transistors and Darlington Transistors on 4H-SiC SiC Heterojunction Bipolar Transistors with AlN/GaN Short-Period Superlattice Widegap Emitter 2.2 kV SiC BJTs with Low VCESAT Fast Switching and Short-Circuit Capability Experimental Study of Degradation in 4H-SiC BJTs by Means of Electrical Characterization and Electroluminescence Optical Insights into the Internal Electronic and Thermal Behavior of 4H-SiC Bipolar Devices A 10 kV 4H-SiC Bipolar Turn Off Thyristor (BTO) with Positive Temperature Coefficient of VF, Current Saturation Capability and Fast Switching Speed Fast Switch-Off of High Voltage 4H-SiC npn BJTs from Deep Saturation Mode 3kV 4H-SiC Thyristors for Pulsed Power Applications Operation of Silicon Carbide BJTs Free from Bipolar Degradation Temperature Modeling and Characterization of the Current Gain in 4H-SiC Power BJTs Improvement of Current Gain with Etched Extrinsic Base Regions of Triple Ion Implanted SiC BJT 6.6 Sensors and Detectors Performance of Silicon Carbide Avalanche Photodiode Arrays and Photomultipliers Optical Properties of Antireflective Subwavelength Structures on 4H-SiC for UV Photodetectors Impact Ionization in 4H-SiC Nuclear Radiation Detectors Characterisation of Low Noise 4H-SiC Avalanche Photodiodes Fabrication and Characteristics of Micro Heaters Based on Polycrystalline 3C-SiC for High Temperature and Voltage Silicon Carbide APD with Improved Detection Sensitivity and Stability Silicon Carbide Based Energy Harvesting Module for Hostile Environments 6.7 Circuits, System Applications New Generation of SiC Based Biodevices Implemented on 4" Wafers SiC vs. Si - Evaluation of Potentials for Performance Improvement of Power Electronics Converter Systems by SiC Power Semiconductors Fully-Integrated 6H-SiC JFET Amplifiers for High-Temperature Sensing Application of SiC Normally-On JFETs in Photovoltaic Power Converters: Suitable Circuits and Potentials Fabrication of SiC JFET-Based Monolithic Integrated Circuits Electrical and Thermal Performance of 1200 V, 100 A, 200 DegreesC 4H-SiC MOSFET-Based Power Switch Modules Performance and Reliability of SiC MOSFETs for High-Current Power Modules Inverter Loss Reduction Using 3kV SiC-JBS Diode and High-Speed Drive Circuit Demonstration of High Temperature Bandgap Voltage Reference Feasibility on SiC Material Characterization of 6H-SiC JFET Integrated Circuits over a Broad Temperature Range from -150 DegreesC to +500 DegreesC Electromigration Reliability of the Contact Hole in SiC Power Devices Operated at Higher Junction Temperatures NMOS Logic Circuits Using 4H-SiC MOSFETs for High Temperature Applications 600-V / 2-A Symmetrical Bi-Directional Power Flow Using Vertical-Channel JFETs Connected in Common Source Configuration Design and Characterization of 50W Switch Mode Power Supply Using Normally-On SiC JFET A Step Toward High Temperature Intelligent Power Modules Using 1.5kV SiC-BJT Fabrication and Testing of 4H-SiC MESFETs for Analog Functions Circuits Mixed Mode Modeling and Characterization of a 4H-SiC Power DMOSFET Based DC-DC Power Converter SiC JFETs for Power Module Applications System Improvements of Photovoltaic Inverters with SiC-Transistors Discussion of Turn on Current Peaks of SiC Switches in Half Bridges Chapter 7: III-Nitrides, II-VI Compounds and Diamond 7.1 Growth of III-Nitrides AlN Substrates and Epitaxy Results Free Standing AlN Single Crystal Grown on Pre-Patterned and In Situ Patterned 4H-SiC Substrates Growth of Nanocrystalline Translucent h-BN Films Deposited by CVD at High Temperature on SiC Substrates 7.2 Characterization of III-Nitrides Deep-Level Defects in AlN Single Crystals: EPR Studies Quality Control and Electrical Properties of Thin Amorphous (SiC)1-x(AlN)x Films Produced by Radio Frequency Dual Magnetron Sputtering Calculations of the Spontaneous Polarizations and Dielectric Constants for AlN, GaN, InN, and SiC 7.3 III-Nitride Devices 2DEG HEMT Mobility vs Inversion Channel MOSFET Mobility Evolution of the Electrical Behaviour of GaN and AlGaN Materials after High Temperature Annealing and Thermal Oxidation Effect of Temperature and Al Concentration on the Electrical Performance of GaN and Al0.2Ga0.8N Accumulation-Mode FET Devices 2H-AlGaN/GaN HEMTs on 3C-SiC(111)/Si(111) Substrates Energy Optimization of As+ Ion Implantation on SiO2 Passivation Layer of AlGaN/GaN HEMTs 7.4 Other Related Materials Characteristics of Diamond SBD's Fabricated on Half Inch Size CVD Wafer Made by the "Direct Wafer Fabrication Technique" High Temperature Characteristics of Diamond SBDs Field Effect Transistors Based on Catalyst-Free Grown 3C-SiC Nanowires Nitrogen Centers in Nanodiamonds: EPR Studies Silicon-on-SiC, a Novel Semiconductor Structure for Power Devices

by "Nielsen BookData"

Related Books: 1-1 of 1
Details
Page Top