Variation-aware adaptive voltage scaling for digital CMOS circuits

著者

    • Wirnshofer, Martin

書誌事項

Variation-aware adaptive voltage scaling for digital CMOS circuits

Martin Wirnshofer

(Advanced microelectronics, 41)

Springer, c2013

大学図書館所蔵 件 / 1

この図書・雑誌をさがす

注記

Includes bibliographical references

内容説明・目次

内容説明

Increasing performance demands in integrated circuits, together with limited energy budgets, force IC designers to find new ways of saving power. One innovative way is the presented adaptive voltage scaling scheme, which tunes the supply voltage according to the present process, voltage and temperature variations as well as aging. The voltage is adapted "on the fly" by means of in-situ delay monitors to exploit unused timing margin, produced by state-of-the-art worst-case designs. This book discusses the design of the enhanced in-situ delay monitors and the implementation of the complete control-loop comprising the monitors, a control-logic and an on-chip voltage regulator. An analytical Markov-based model of the control-loop is derived to analyze its robustness and stability. Variation-Aware Adaptive Voltage Scaling for Digital CMOS Circuits provides an in-depth assessment of the proposed voltage scaling scheme when applied to an arithmetic and an image processing circuit. This book is written for engineers interested in adaptive techniques for low-power CMOS circuits.

目次

1 Introduction. 2 Sources of Variation. 2.1 Process Variations. 2.2 Voltage Variations. 2.3 Temperature Variations. 2.4 Aging. 2.5 Summary. 3 Related Work. 3.1 Dynamic Voltage Scaling. 3.2 Adaptive Voltage Scaling. 4 Adaptive Voltage Scaling by In-situ Delay Monitoring. 4.1 Principle of Operation. 4.2 Overall AVS Control Loop. 5 Design of In-situ Delay Monitors. 5.1 Delay-element based Pre-Error Flip-Flop. 5.2 Duty-Cycle based Pre-Error Flip-Flops. 5.3 Comparison of In-situ Delay Monitors. 6 Modeling the AVS Control Loop. 6.1 Simulation Methodology. 6.2 Markov Model of the Pre-Error AVS System. 6.3 Stability of the Control Loop. 7 Evaluation of the Pre-Error AVS Approach. 7.1 Power Saving Potential and Reliability. 7.2 Application of Pre-Error AVS for an Image Processing Circuit. 8 Conclusion. A Appendix. A.1 Mathematical Derivation: Path Delay under Local Variations. A.2 2-D DCT Transform. References.

「Nielsen BookData」 より

関連文献: 1件中  1-1を表示

詳細情報

ページトップへ