Optical lithography : here is why

書誌事項

Optical lithography : here is why

Burn J. Lin

SPIE Press, c2021

2nd ed

大学図書館所蔵 件 / 1

この図書・雑誌をさがす

注記

Includes bibliographical references and index

内容説明・目次

内容説明

This book is written for new and experienced engineers, technology managers, and senior technicians who want to enrich their understanding of the image formation physics of a lithographic system. Readers will gain knowledge of the basic equations and constants that drive optical lithography, learn the basics of exposure systems and image formation, and come away with a full understanding of system components, processing, and optimization. Readers will also get an overview of the outlook of optical lithography and means to enhance semiconductor manufacturing. This second edition blends the author's unique experience in research, teaching, and world-class high-volume manufacturing to add brand new material on proximity printing, as well as updated and expanded material on exposure systems, image formation, E-D methodology, hardware components, processing and optimization, and EUV and immersion lithographies.

目次

Preface 1 Introduction 1.1 The Role of Lithography in Integrated Circuit Fabrication 1.2 The Goal of Lithography 1.3 The Metrics of Lithography 1.4 Introduction to the Contents of this Book 2 Proximity Printing 2.1 Introduction 2.2 Proximity Imaging 2.3 Region of Validity for Various Approximations of Diffraction 2.4 Proximity Images 2.5 Exposure-Gap (E-G) Diagram 2.6 Conclusion References 3 Exposure Systems 3.1 Projection Printing and a Comparison to Proximity Printing 3.2 Full-Wafer Field 3.3 Step and Repeat 3.4 Step and Scan 3.5 Reduction and 1X Systems 3.6 1X Mask Fabricated with a Reduction System 3.7 Summary References 4 Image Formation 4.1 The Aerial Image 4.1.1 Effects of a spherical wavefront and deviations from it 4.1.2 Spherical wavefront 4.1.3 The effect of a finite numerical aperture on the spherical wavefront 4.1.4 Deviation from a spherical wavefront 4.1.5 Imaging from a mask pattern 4.1.6 Spatial frequencies 4.1.7 Imaging results 4.2 Reflected and Refracted Images 4.2.1 Methods to evaluate the reflected and refracted image from a mask 4.2.2 Impact of multiple reflections on DOF 4.3 The Latent Image 4.4 Pupil Filters 4.4.1 The A, B, C Coefficients 4.4.2 The lumped parameters 4.4.3 ? and ? 4.5 From Aerial Image to Resist Image 4.6 The Transferred Image 4.6.1 Isotropic etching 4.6.2 Anisotropic etching 4.6.3 Lift off 4.6.4 Ion implantation 4.6.5 Electroplating References 5 The Metrics of Lithography: Exposure-Defocus (E-D) Tools 5.1 The Resolution and DOF Scaling Equations 5.2 Determination of k1 and k3 Based on Microscopy 5.3 Determination of k1, k2, and k3 Based on Lithography 5.3.1 E-D branches, trees, and regions 5.3.2 E-D window, DOF, and exposure latitude 5.3.3 Determination of k1, k2, and k3 using E-D windows 5.4 k1, k2, and k3 as Normalized Lateral and Longitudinal Units of Dimension 5.5 The E-D Tools 5.5.1 Construction of E-D trees 5.5.2 The importance of using log scale in the exposure axis 5.5.3 Elliptical E-D window 5.5.4 CD-centered E-D windows versus full-CD-range E-D windows 5.5.5 E-D windows and CD control 5.5.6 Application of E-D tools References 6 Hardware Components in Optical Lithography 6.1 Light Sources 6.1.1 Mercury arc lamps 6.1.2 Excimer lasers 6.2 Illuminator 6.2.1 Koehler illumination system 6.2.2 Off-axis illumination 6.2.3 Arbitrary illumination 6.3 Masks 6.3.1 Mask substrate and absorber 6.3.2 Pellicles 6.3.3 Critical parameters for masks 6.3.4 Phase-shifting masks 6.4 Imaging Lens 6.4.1 Typical lens parameters 6.4.2 Lens configurations 6.4.3 Lens aberrations 6.4.4 Lens fabrication 6.4.5 Lens maintenance 6.5 Photoresists 6.5.1 Classifications 6.5.2 Light interactions with a photoresist 6.5.3 Developed resist images 6.5.4 Antireflection coating (ARC) (by B.J. Lin and S.S. Yu) 6.6 Wafer 6.7 Wafer Stage 6.8 Alignment System 6.8.1 Off-axis alignment and through-the-lens alignment 6.8.2 Field-by-field, global, and enhanced global alignment 6.8.3 Bright-field and dark-field alignments 6.9 Conclusion References 7 Processing and Optimization 7.1 Optimization of the Exposure Tool 7.1.1 Optimization of the NA 7.1.2 Optimization of illumination 7.1.3 Exposure and focus 7.1.4 DOF budget 7.1.5 Exposure tool throughput management 7.2 Resist Processing 7.2.1 Resist coating 7.2.2 Resist baking 7.2.3 Resist developing 7.2.4 Aspect ratio of the resist image 7.2.5 Environmental contamination 7.3 k1 reduction 7.3.1 Phase-shifting masks 7.3.2 Off-axis illumination 7.3.3 Conceptual illustration 7.3.4 Scattering bars 7.3.5 Optical proximity correction 7.4 Polarized Illumination 7.5 Multiple Patterning 7.5.1 Principle of the multiple-patterning technique (MPT) 7.5.2 MPT processes 7.5.3 MPT layouts 7.5.4 G-rule for the double-patterning technique (DPT) 7.5.5 Pack-unpack 7.5.6 Resolution-doubling theory illustrated 7.5.7 Overlay consideration of MPT 7.5.8 Overcoming throughput penalty with double imaging 7.6 CD Uniformity (by S.S. Yu) 7.6.1 CD nonuniformity (CDNU) analysis 7.6.2 CDU improvement 7.7 Alignment and Overlay 7.7.1 Alignment and overlay marks 7.7.2 Using measured data for alignment 7.7.3 Evaluation of interfield and intrafield overlay error components References 8 Immersion Lithography 8.1 Introduction 8.2 Overview of Immersion Lithography 8.3 Resolution and DOF 8.3.1 Wavelength reduction and spatial frequencies 8.3.2 Resolution-scaling and DOF-scaling equations 8.3.3 Improving resolution and DOF with an immersion system 8.3.4 NA in immersion systems 8.4 DOF in Multilayered Media 8.4.1 Transmission and reflection in multilayered media 8.4.2 Effects of wafer defocus movements 8.4.3 Diffraction DOF 8.4.4 Required DOF 8.4.5 Available DOF 8.4.6 The preferred refractive index in the coupling medium 8.4.7 Tradeoff between resolution and DOFdiffrac 8.5 Polarization in Optical Imaging 8.5.1 Imaging with different polarizations 8.5.2 Stray light 8.6 Immersion Systems and Components 8.6.1 Configuration of an immersion system 8.6.2 The immersion medium 8.6.3 The immersion lens 8.6.4 Bubbles in the immersion medium 8.6.5 The mask 8.6.6 Subwavelength 3D masks 8.6.7 The photoresist 8.7 The Impact of Immersion Lithography on Technology 8.7.1 Simulation of immersion lithography 8.7.2 Poly layer 8.7.3 Contact layer 8.7.4 Metal layer 8.7.5 Recommendations for the three technology nodes 8.8 Practicing Immersion Lithography 8.8.1 Printing results 8.8.2 Defect reduction 8.8.3 Monitoring the immersion hood and special routing 8.8.4 Other defect-reduction schemes 8.8.5 Results 8.9 Extension of Immersion Lithography 8.9.1 High-refractive-index materials 8.9.2 Solid-immersion masks 8.9.3 Polarized illumination 8.9.4 Multiple patterning 8.10 Conclusion References 9 EUV Lithography 9.1 Introduction 9.2 EUV Source 9.2.1 Source power requirement 9.2.2 The adopted LPP source 9.2.3 Wall-power requirement of EUV systems 9.3 EUV Masks 9.3.1 Configuration of EUV masks 9.3.2 Effects of oblique incidence on mask 9.3.3 EUV mask fabrication 9.3.4 EUV pellicles 9.4 Resolution-Enhancement Techniques for EUVL 9.4.1 EUV flexible illumination 9.4.2 EUV proximity correction 9.4.3 EUV multiple patterning 9.4.4 EUV phase-shifting masks 9.5 EUV Projection Optics 9.6 EUV Resists 9.6.1 Mechanism of EUV resist exposure 9.6.2 CAR EUV resists 9.6.3 Non-CAR EUV resists 9.7 Extendibility of EUVL 9.7.1 Resist sensitivity, throughput, and power at each technology node 9.7.2 Increasing NA 9.8 Summary of EUVL 9.9 Outlook of Lithography References Appendix: Methods to Evaluate the Region of Validity Based on Lithography Applications by Yen Hui Hsieh, Mung Xiang Hsieh, and Burn J. Lin A.1 Motivation A.2 Similarity of the Approximation Methods According to the Pearson Correlation Coefficient A.3 Critical Dimension A.4 Log Slope-CD Control A.5 Polychromatic Illumination A.6 Summary and Conclusion References Index

「Nielsen BookData」 より

詳細情報

ページトップへ