入門Verilog HDL記述 : ハードウェア記述言語の速習&実践

書誌事項

入門Verilog HDL記述 : ハードウェア記述言語の速習&実践

小林優著

(Design wave basic)

CQ出版, 2004.6

改訂版

タイトル別名

入門VerilogHDL記述 : ハードウェア記述言語の速習&実践

タイトル読み

ニュウモン Verilog HDL キジュツ : ハードウェア キジュツ ゲンゴ ノ ソクシュウ & ジッセン

注記

参考文献: p250

内容説明・目次

内容説明

Verilog HDLやVHDLによる設計はもはや日常となり、HDLに代わると言われるC言語ベースの設計例も報告されるようになってきました。本書の初版もVerilog HDLによる設計のための入門書として多くの読者に支持されてきました。本書の内容は、Verilog HDLによる設計のれい明期に著者が会得した内容を整理し、1冊にまとめたものです。著者の主な業務が、設計から(広義の)コンサルティングに変わり、多くの設計事例や記述例を見るに至り、本書の内容にひとりよがりで思い込みの部分が少なからず目に付いてきました。そこで、半導体理工学研究センター(STARC)が策定した「設計スタイルガイド」に準拠して、本書の記述例や解説を見直しました。

目次

  • 第1部 入門編(やさしいVerilog HDL記述入門;もう少し進んだVerilog HDL記述)
  • 第2部 回路記述編(文法概略と基本記述スタイル;組み合わせ回路のHDL記述;順序回路のHDL記述)
  • 第3部 シミュレーション&応用編(シミュレーション・モデル;シミュレーション記述;電子錠の拡張;Verilog HDL文法概要;Verilog−2001)

「BOOKデータベース」 より

関連文献: 1件中  1-1を表示
詳細情報
ページトップへ